On the development of an island-style FPGA

Yang Azevedo Tavares

ORCID iD Universidade Federal do Rio Grande do Norte (UFRN) Brasil

Diomadson Rodrigues Belfort

ORCID iD Universidade Federal do Rio grande do Norte (UFRN) Brasil

Sebastian Yuri Cavalcanti Catunda

ORCID iD Universidade Federal do Rio Grande do Norte (UFRN) Brasil

Sabiniano Araújo Rodrigues

Instituto Federal de Educação, Ciência e Tecnologia da Paraíba (IFPB) Brasil

James Tandon

California State University, East Bay (CSUEB) Estados Unidos da América do Norte

Resumo

This paper presents the development of a custom SRAM island-style FPGA, covering the information needed and the steps involved in hardware implementation, bitstream configuration and design alternatives to facilitate the overall implementation effort from an academic point of view. To achieve the state of the art, commercial FPGAs can employ a large team, a high time-to-market, and high non-recurring engineering costs. In contrast, by taking the challenge of building a custom FPGA with a small team of researchers, the development of custom architecture and size focuses on the proof of concept. This baseline methodology result can be a start point for the development of new technologies or circuit enhancements

Palavras-chave


Field programmable gate arrays; Reconfigurable architectures; Circuit synthesis; Read-write memory; Design methodology


Texto completo:

Referências


AHMED, E.; ROSE, J. The effect of LUT and cluster size on deep-submicron FPGA performance and density. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 12, n. 3, p. 288-298, 2004.

BAKER, R. J. CMOS: circuit design, layout, and simulation. 3rd Ed. Wiley-IEEE, 2011.

BETZ, V.; ROSE, J. VPR: A new packing, placement and routing tool for FPGA research. In: LUK, W.; CHEUNG, P. Y. K.; GLESNER, M. (eds). International Conference on Field Programmable Logic and Applications (FPL 1997). Lecture Notes in Computer Science, vol 1304. Springer, p. 213-222, 1997.

BETZ, V.; ROSE, J.; MARQUARDT, A. Architecture and CAD for deep-submicron FPGAs. Springer, 1999.

CHIASSON, C.; BETZ, V. Should FPGAs abandon the pass-gate? In: 2013 23rd International Conference on Field programmable Logic and Applications. 2013, Porto (Portugal), Proceedings... 2013. p. 1-8.

COOLE, J.; STITT, G. Intermediate fabrics: virtual architectures for circuit portability and fast placement and routing. In: 2010 IEEE/ACM/IFIP INTERNATIONAL CONFERENCE ON HARDWARE/SOFTWARE DESIGN AND SYSTEM SYNTHESIS (CODES+ISSS). 2010, Scottsdale (United States), Proceedings.... 2010, p. 13-22.

GRUWELL, A.; ZABRISKIE, P.; WIRTHLIN, M. High-speed FPGA configuration and testing through JTAG. In: 2016 IEEE AUTOTESTCON. 2016, Anaheim (United States), Proceedings...., 2016, p. 1-8.

HUNG, E. Mind the (synthesis) gap: Examining where academic FPGA tools lag behind industry. In: 2015 25th International Conference on Field Programmable Logic and Applications (FPL). 2015, London (United Kingdom), Proceedings.... 2015, p. 1-4.

INTEL. Digital Signal Processing blocks in Stratix series FPGAs. Available in: . Accessed in: jul., 2019a.

INTEL. Intel Stratix 10 GX/SX device overview. Available in: < https://intel.ly/2PA5ykP>. Accessed in: jul., 2019b.

KIM, J. H.; ANDERSON, J. H. Synthesizable FPGA fabrics targetable by the Verilog-to-Routing (VTR) CAD flow. In: 2015 25th INTERNATIONAL CONFERENCE ON FIELD PROGRAMMABLE LOGIC AND APPLICATIONS (FPL). 2015, London (United Kingdom), Proceedings... 2015. p. 1-8.

KUON, I.; TESSIER, R.; ROSE, J. FPGA architecture: survey and challenges. Now Foundations and Trends, 2008.

LAGADEC, L. et al. Placing, routing, and editing virtual FPGAs. In: BREBNER, G.; WOODS, R. (eds). International Conference on Field Programmable Logic and Applications (FPL 2001). Lecture Notes in Computer Science, vol 2147. Springer, p. 357-366, 2001.

LUU, J. et al. VTR 7.0: Next generation architecture and CAD system for FPGAs. ACM Transactions on Reconfigurable Technology and Systems (TRETS), v. 7, n. 2, p. 6:1-6:30, 2014.

PADALIA, K. et al. Automatic transistor and physical design of FPGA tiles from an architectural specification. In: 2003 ACM/SIGDA 11th INTERNATIONAL SYMPOSIUM ON FIELD PROGRAMMABLE GATE ARRAYS. 2003. Monterey (United States), Proceedings.... 2003, p. 164-172.

PARVEZ, H.; MEHREZ, H. Application-specific mesh-based heterogeneous FPGA architectures. Springer, 2011.

ROSE, J. et al. The VTR project: architecture and CAD for FPGAs from verilog to routing. In: 2012 ACM/SIGDA 11th INTERNATIONAL SYMPOSIUM ON FIELD PROGRAMMABLE GATE ARRAYS. 2012. Monterey (United States), Proceedings.... 2003, p. 77-86.

SONI, R. L.; STEINER, N.; FRENCH, M. Open-source bitstream generation. In: 2013 IEEE 21st ANNUAL INTERNATIONAL SYMPOSIUM ON FIELD-PROGRAMMABLE CUSTOM COMPUTING MACHINES. 2013, Seattle (United States), Proceedings... p. 105-112.

SRINIVASAN, S. et al. Improving soft-error tolerance of FPGA configuration bits. In: 2004 IEEE/ACM INTERNATIONAL CONFERENCE ON COMPUTER-AIDED DESIGN (ICCAD 2004), 2004, San Jose (United States), Proceedings... 2004, p. 107-110.

TRIMBERGER, S. M. S. Three ages of FPGAs: a retrospective on the first thirty years of FPGA technology: this paper reflects on how Moore's law has driven the design of FPGAs through three epochs: the age of invention, the age of expansion, and the age of accumulation. IEEE Solid-State Circuits Magazine, v. 10, n. 2, p. 16-29, 2018.

VEILLARD, D. The XML C parser and toolkit of Gnome. 2003. Available in: . Accessed in: jul., 2019.

VILLA, P. R. C. et al. Analysis of single-event upsets in a Microsemi ProAsic3E FPGA. In: 2017 18th IEEE LATIN AMERICAN TEST SYMPOSIUM (LATS), 2017, Bogota (Colombia), Proceedings... 2017. p. 1-4.

WESTE, N. H. E.; HARRIS, D. CMOS VLSI design: a circuits and systems perspective. 4th ed. Pearson India, 2015.

WILTON, S. J. E.. Architectures and algorithms for field-programmable gate arrays with embedded memory. 1997. 181 f. Thesis (Doctorate in Electrical and Computer Engineering), Department of Electrical and Computer Engineering, University of Toronto, Toronto (Canada), 1997.

WU, Y. L.; MAREK-SADOWSKA, M. Orthogonal greedy coupling-A new optimization approach to 2-D FPGA routing. In: 32nd DESIGN AUTOMATION CONFERENCE. 1995, San Francisco (United States), Proceedings.... 1995. p. 568-573.

XILINX. FPGA applications. Available in: . Accessed in: jul., 2019.


DOI: http://dx.doi.org/10.18265/1517-03062015v1n48p85-98

O arquivo PDF selecionado deve ser carregado no navegador caso tenha instalado um plugin de leitura de arquivos PDF (por exemplo, uma versão atual do Adobe Acrobat Reader).

Como alternativa, pode-se baixar o arquivo PDF para o computador, de onde poderá abrí-lo com o leitor PDF de sua preferência. Para baixar o PDF, clique no link abaixo.

Caso deseje mais informações sobre como imprimir, salvar e trabalhar com PDFs, a Highwire Press oferece uma página de Perguntas Frequentes sobre PDFs bastante útil.

Visitas a este artigo: 1579

Total de downloads do artigo: 841